Biography

Shusuke Yoshimoto received B.E. and M.E. degrees in Computer and Systems Engineering from Kobe University, Hyogo, Japan, in 2009 and 2011, respectively. He earned Ph.D. degree in Engineering from the university in 2013. He was a JSPS research fellow from 2013 to 2014. He worked in Department of Electrical Engineering at Stanford University as a Postdoc from 2013 to 2015. Since 2015, he has been an Assistant Professor in The Institute of Scientific and Industrial Research at Osaka University. His current research interests include biomedical signal processing, flexible electronics, organic circuit design, nanoelectronics, low-power and robust system design. He was a recipient of 2011 and 2012 IEEE SSCS Japan Chapter Academic Research Awards, 2013 IEEE SSCS Kansai Chapter IMFEDK Student Paper Award, 2013 CICC Student Scholarship Award, and IEEE SSCS LSI and System Workshop Poster Award 2016. He served as a program comittee student member in IEICE Integrated Circuit Design in 2013.

Journal

  1. S. Okumura, Y. Kagiyama, Y. Nakata, S. Yoshimoto, H. Kawaguchi, and M. Yoshimoto, "7T SRAM Enabling Low-Energy Instantaneous Block Copy and Its Application to Transactional Memory," IEICE Trans. Fundamentals, vol. E94-A, No. 12, pp. 2693-2700, Dec. 2011.
  2. S. Okumura, H. Fujiwara, K. Yamaguchi, S. Yoshimoto, M. Yoshimoto, and H. Kawaguchi, "A 0.15-μm FD-SOI Substrate Bias Control SRAM with Inter-Die Variability Compensation Scheme," IEICE Trans. Electron., Vol. E95-C, No. 4, pp. 579-585, Apr. 2012.
  3. S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM Using Low-Energy Disturb Mitigation Scheme," IEICE Trans. Electron., Vol. E95-C, No. 4, pp. 572-578, Apr. 2012.
  4. S. Okumura, Y. Nakata, K. Yanagida, Y. Kagiyama, S. Yoshimoto, H. Kawaguchi, M. Yoshimoto, "Low-energy block-level instantaneous comparison 7T SRAM for dual modular redundancy," IEICE Electronics Express, Vol. 9, No. 6, pp.470-476, Mar., 2012.
  5. S. Yoshimoto, T. Amashita, S. Okumura, K. Nii, M. Yoshimoto, and H. Kawaguch, "Bit-Error and Soft-Error Resilient 7T/14T SRAM with 150-nm FD-SOI Process," IEICE Trans. Fundamentals, Vol. 95-A, No. 8, pp.1359-1365, Aug. 2012.
  6. S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi, and M. Yoshimoto "A 40-nm 256-Kb Half-Select Resilient 8T SRAM with Sequential Writing Technique," IEICE Electronics Express, Vol. 9, No. 12, pp. 1023-1029, June 2012.
  7. S. Yoshimoto, T. Amashita, S. Okumura, H Kawaguchi, and M. Yoshimoto, "Multiple-Bit-Upset and Single-Bit-Upset Resilient 8T SRAM Bitcell Layout with Divided Wordline Structure," IEICE Trans. Electron., Vol. E95-C, No. 10, pp. 1675-1681, Oct. 2012.
  8. S. Okumura, S. Yoshimoto, H. Kawaguchi, and M. Yoshimoto, "A 128-bit Chip Identification Generating Scheme Exploiting Load Transistor's Variation in SRAM Bitcells," IEICE Trans. Fundamentals, Vol. E95-A, No. 12, pp. 2226-2233, Dec. 2012.
  9. S. Yoshimoto, S. Okumura, K. Nii, H. Kawaguchi, and M. Yoshimoto, "Multiple-Cell-Upset Tolerant 6T SRAM Using NMOS-Centered Cell Layout," IEICE Trans. Fundamentals, Vol. E96-A, No. 7, pp. 1579-1585, July 2013.
  10. S. Yoshimoto, H. Kawaguchi, and M. Yoshimoto, "Soft-Error Resilient and Margin-Enhanced N-P Reversed 6T SRAM Bitcell," Vol. E97-A, No.9, pp.1945-1951, Sep. 2014.
  11. Yohei Umeki, Koji Yanagida, Shusuke Yoshimoto, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi, Koji Tsunoda, Toshihiro Sugii, "STT-MRAM Operating at 0.38V Using Negative-Resistance Sense Amplifier," IEICE Trans. Fundamentals, Vol.E97-A, No.12, pp.2411-2417, Dec. 2014.
  12. S. Izumi, K. Yamashita, M. Nakano, S. Yoshimoto, T. Nakagawa, Y. Nakai, H. Kawaguchi, H. Kimura, K. Marumoto, T. Fuchikami, Y. Fujimori, H. Nakajima, T. Shiga, and M. Yoshimoto, "Normally Off ECG SoC With Non-Volatile MCU and Noise Tolerant Heartbeat Detector," IEEE Transactions on Biomedical Circuits and Systems, vol.9, no.5, pp.641-651, Oct. 2015.
  13. Masaya Kondo, Takafumi Uemura, Takafumi Matsumoto, Teppei Araki, Shusuke Yoshimoto, and Tsuyoshi Sekitani, "Ultraflexible and Ultrathin Polymeric Gate Insulator for 2 V Organic Transistor Circuits," Applied Physics Express, volume 9, number 6, 061602-1-4 May 2016.
  14. Y. Umeki, K. Yanagida, S. Yoshimoto, S. Izumi, M. Yoshimoto, H. Kawaguchi, K. Tsunoda, and T. Sugii, “A Counter-based Read Circuit Tolerant to Process Variation for 0.4-V Operating STT-MRAM,” IPSJ Transactions on System LSI Design Methodology (TSLDM), vol. 9, pp. 79-83, Aug. 2016.
  15. Haruki Mori, Yohei Umeki, Shusuke Yoshimoto, Hiroshi Kawaguchi, Shintaro Izumi, Koji Nii, and Masahiko Yoshimoto, "A 28-nm 484-fJ/writecycle 650-fJ/readcycle 8T Three-Port FD-SOI SRAM for Image Processor," Vol.E99-C, No.8, pp.901-908, Aug. 2016.
  16. Y. Umeki, S. Izumi, H. Kitahara, T. Nakagawa, K. Yanagida, S. Yoshimoto, H. Kawaguchi, M. Yoshimoto, H. Kimura, K. Marumoto, T. Fuchikami, and Y. Fujimori, “A Novel Test Scheme for Detecting Faulty Recall Margin Cells for 6T-4C FeRAM,” Memoirs of the Graduate Schools of Engineering and System Informatics Kobe University, no. 8, pp. 5-8, Feb. 2017.
  17. Shusuke Yoshimoto, Teppei Araki, Takafumi Uemura, Yuki Noda, and Tsuyoshi Sekitani, "Flexible electronics for bio-signal monitoring in implantable applications," IEICE Electron. Express, Vol. 14, No. 20, pp. 1-12, 2017.

International Conference

  1. S. Okumura, Y. Iguchi, S. Yoshimoto, H. Fujiwara, H. Noguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "A 0.56-V 128kb 10T SRAM Using Column Line Assist (CLA) Scheme," Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 659-663, Mar. 2009.
  2. S. Okumura, S. Yoshimoto, K. Yamaguchi, Y. Nakata, H. Kawaguchi, and M. Yoshimoto, "7T SRAM Enabling Low-Energy Simultaneous Block Copy," Proceedings of IEEE Custom Integrated Circuits Conference (CICC), Sep. 2010.
  3. S. Yoshimoto, S. Okumura, H. Kawaguchi, and M. Yoshimoto, "The Area Criteria of 6T and 8T SRAM Cells," IEEE/ACM Workshop on Variability Modeling and Characterization (VMC), p.4, Nov. 2010.
  4. S. Yoshimoto, T. Amashita, D. Kozuwa, T. Takata, M. Yoshimura, Y. Matsunaga, H. Yasuura, H. Kawaguchi, and M. Yoshimoto, "Multiple-Bit- Upset Tolerant 8T SRAM Cell Layout with Divided Wordline Structure," Proceedings of Silicon Errors in Logic - System Effects (SELSE), pp. 106 -111, Mar. 2011.
  5. S. Yoshimoto, T. Amashita, S. Okumura, K. Yamaguchi, M. Yoshimoto and H. Kawaguchi, "Bit Error and Soft Error Hardenable 7T/14T SRAM with 150-nm FD-SOI Process," IEEE International Reliability Physics Symposium (IRPS), pp. 876-881, Apr. 2011.
  6. S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi and M. Yoshimoto, "A 40-nm 0.5-V 20.1-uW/MHz 8T SRAM with Low-Energy Disturb Mitigation Scheme," Digest of Technical Papers 2011 Symposium on VLSI Circuits, pp. 72-73, Jun. 2011.
  7. S. Yoshimoto, T. Amashita, D. Kozuwa, T. Takata, M. Yoshimura, Y. Matsunaga, H. Yasuura, H. Kawaguchi and M. Yoshimoto, "Multiple-Bit-Upset and Single-Bit-Upset Resilient 8T SRAM Bitcell Layout with Divided Wordline Structure," IEEE International On-Line Testing Symposium (IOLTS), pp.151-156, Jul. 2011.
  8. S. Okumura, S. Yoshimoto, H. Kawaguchi and M. Yoshimoto, " A 128-bit Chip Identification Generating Scheme Exploiting SRAM Bitcells with Failure Rate of 4.45 × 10-19," Proceedings of IEEE European Solid-State Circuits Research Conference (ESSCIRC), Sep. 2011.
  9. S. Okumura, Y. Nakata, K. Yanagida, Y. Kagiyama, S. Yoshimoto, H. Kawaguchi, and M. Yoshimoto, "Low-Power Block-Level Instantaneous Comparison 7T SRAM for Dual Modular Redundancy," Proceedings of IEEE Custom Integrated Circuits Conference (CICC), Sep. 2011.
  10. M. Terada, S. Yoshimoto, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 256-Kb 0.6-V Operation Half-Select Resilient 8T SRAM with Sequential Writing Technique Enabling 367-mV VDDmin Reduction," Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 487-490, Mar. 2012.
  11. Y. Kagiyama, S. Okumura, K. Yanagida, S. Yoshimoto, Y. Nakata, S. Izumi, H. Kawaguchi, and M. Yoshimoto, "Bit Error Rate Estimation in SRAM Considering Temperature Fluctuation," Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 514-517, Mar. 2012.
  12. S. Yoshimoto, T. Amashita, S. Okumura, K. Nii, H. Kawaguchi, and M. Yoshimoto, "NMOS-Inside 6T SRAM Layout Reducing Neutron-Induced Multiple Cell Upsets," IEEE International Reliability Physics Symposium (IRPS), pp. 5B.5.1-5, Apr. 2012.
  13. S. Yoshimoto, T. Amashita, M. Yoshimura, Y. Matsunaga, H. Yasuura, S. Izumi, H. Kawaguchi, and M. Yoshimoto, "Neutron-Induced Soft Error Rate Estimation for SRAM Using PHITS," IEEE International On-Line Testing Symposium (IOLTS), pp. 173-176, Jun. 2012.
  14. S. Yoshimoto, M. Terada, Y. Umeki, S. Okumura, A. Kawasumi, T. Suzuki, S. Moriwaki, S. Miyano, H. Kawaguchi and M. Yoshimoto, "A 40-nm 256-Kb Sub-10 pJ/Access 8T SRAM with ReadBitline Amplitude Limiting (RBAL) Scheme," IEEE International Symposium on Low Power Electronics and Design (ISLPED), pp. 85-90, Jul. 2012.
  15. S. Okumura, S. Yoshimoto, H. Kawaguchi and M. Yoshimoto, "A Physical Unclonable Function Chip Exploiting Load Transistors’ Variation in SRAM Bitcells," IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) University LSI Design Contest, pp. 79-80, Jan. 2013.
  16. S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi and M. Yoshimoto, "A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM Using Low-Power Disturb Mitigation Technique," IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 77-78, Jan. 2013.
  17. S. Yoshimoto, K. Nii, H. Kawaguchi, and M. Yoshimoto, "Multiple-Cell-Upset Hardened 6T SRAM Using NMOS-Centered Layout," IEEE International Meeting for Future of Electron Devices Kansai (IMFEDK), pp. 98-99, June 2013.
  18. S. Yoshimoto, S. Izumi, H. Kawaguchi, and M. Yoshimoto,"Soft-Error Tolerant N-P Reversed 6T SRAM Cell," IEEE Nuclear and Space Radiation Effects Conference (NSREC), July 2013.
  19. S. Yoshimoto, S. Miyano, M. Takamiya, H. Shinohara, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 8T SRAM with Selective Source Line Control of Read Bitlines and Address Preset Structure," IEEE Custom Integrated Circuits Conference (CICC), Sep. 2013.
  20. Y. Umeki, K. Yanagida, S. Yoshimoto, S. Izumi, M. Yoshimoto, H. Kawaguchi, K. Tsunoda, T. Sugii, "A 0.38-V Operating STT-MRAM with Process Variation Tolerant Sense Amplifier," IEEE Asian Solid-State Circuits Conference (ASSCC), Nov. 2013.
  21. T. Nakagawa, S. Izumi, S. Yoshimoto, K. Yanagida, Y. Kitahara, H. Kawaguchi, and M. Yoshimoto, "A 6T-4C Shadow Memory using Plate Line and Word Line Boosting" IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2736-2739, Jun. 2014.
  22. S. Izumi, K. Yamashita, M. Nakano, T. Nakagawa, Y. Kitahara, K. Yanagida, S. Yoshimoto, H. Kawaguchi, H. Kimura, K. Marumoto, T. Fuchikami, Y. Fujimori, H. Nakajima, T. Shiga, and M. Yoshimoto, "A 6.14uA Normally-Off ECG-SoC with Noise Tolerant Heart Rate Extractor for Wearable Healthcare Systems," Proc. of IEEE BioCAS, pp. 280-283, Oct. 2014.
  23. Yohei Umeki, Koji Yanagida, Shusuke Yoshimoto, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi, Koji Tsunoda, Toshihiro Sugii, "A Negative-Resistance Sense Amplifier for Low-Voltage Operating STT-MRAM" IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) University LSI Design Contest, pp. 8-9, Jan. 2015.
  24. Tomoki Nakagawa, Shintaro Izumi, Koji Yanagida, Yuki Kitahara, Shusuke Yoshimoto, Yohei Umeki, Haruki Mori, Hiroto Kitahara, Hiroshi Kawaguchi, Hiromitsu Kimura, Kyoji Marumoto, Takaaki Fuchikami, Yoshikazu Fujimori, and Masahiko Yoshimoto “A Low Power 6T-4C Non-volatile Memoly using Charge Sharing and Non-precharge Techniques” IEEE International Symposium on Circuits and Systems (ISCAS), pp.2904-2907, May. 2015.
  25. Haruki Mori, Tomoki Nakagawa, Yuki Kitahara, Yuta Kawamoto, Kenta Takagi, Shusuke Yoshimoto, Shintaro Izumi, Koji Nii, Hiroshi Kawaguchi and Masahiko Yoshimoto, "A 298-fJ/writecycle 650-fJ/readcycle 8T Three-Port SRAM in 28-nm FD-SOI Process Technology for Image Processor," IEEE Custom Integrated Circuits Conference (CICC), Sep. 2015.
  26. Yohei Umeki, Koji Yanagida, Hiroaki Kurotsu, Hiroto Kitahara, Haruki Mori, Shintaro Izumi, Masahiko Yoshimoto,Hiroshi Kawaguchi, Shusuke Yoshimoto, Koji Tsunoda, Toshihiro Sugii, "Process variation tolerant counter base read circuit for low-voltage operating STT-MRAM", DATE EMS Workshop, Mar. 2016.
  27. Teppei Araki, Fumiaki Yoshida, Shusuke Yoshimoto, Takafumi Uemura, Masaya Kondo, Takafumi Suzuki, Masayuki Hirata, and Tsuyoshi Sekitani, "High electrical stability of silver nanowires-based multi-channel electrodes for implantable neural interface monitoring with wireless recording system," Materials Research Society Spring Meeting, Mar. 2016.
  28. Takafumi Uemura, Takafumi Matsumoto, Masaya Kondo, Teppei Araki, Shusuke Yoshimoto, Tsuyoshi Sekitani, "Ultra-Flexible Organic Amplifier System using Pseudo-CMOS Circuits for a Wireless Biosignal Detection," Materials Research Society Spring Meeting, Mar. 2016.
  29. Masaya Kondo, Takafumi Uemura, Takafumi Matsumoto, Teppei Araki, Shusuke Yoshimoto, Tsuyoshi Sekitani, "Ultra-Thin Parylene Gate Insulator for Low-Voltage-Operating Organic Transistor Circuits," Materials Research Society Spring Meeting, Mar. 2016.
  30. S. Yoshimoto, T. Araki, T. Uemura, T. Nezu, M. Kondo, K. Sasai, M. Iwase, H. Satake, A. Yoshida, M. Kikuchi, and T. Sekitani, "Wireless EEG Patch Sensor on Forehead using On-Demand Stretchable Electrode Sheet and Electrode-Tissue Impedance Scanner," IEEE Engineering in Medicine and Biology Society (EMBC), pp. 6286-6289, Aug. 2016.
  31. (Invited)Takafumi Uemura, Teppei Araki, Shusuke Yoshimoto, and Tsuyoshi Sekitani, "Wearable and Implantable Bio-Signal Monitoring Systems," The 16th International Meeting on Information Display (IMID), Aug. 2016.
  32. Takafumi Uemura, Masaya Kondo, Toshikazu Nezu, Shusuke Yoshimoto, Teppei Araki, Kenichi Sasai, Tomoya Arai, Katsuyuki Morii, and Tsuyoshi Sekitani, "Biological Signal Amplification Circuits Based on Organic Thin-Film Transistors," International Conference on Flexible and Printed Electronics (ICFPE), September 2016.
  33. Shusuke Yoshimoto, Teppei Araki, Fumiaki Yoshida, Takafumi Uemura, Toshikazu Nezu, Takafumi Suzuki, Masayuki Hirata, and Tsuyoshi Sekitani, "Implantable Wireless 64-Channel System with Flexible Ecog Electrode and Optogenetics Probe," IEEE Biomedical Circuits and Systems Conference (BioCAS), pp. 476-479, Oct. 2016.
  34. (Invited)Tsuyoshi Sekitani, Teppei Araki, Shusuke Yoshimoto, and Takafumi Uemura, "Implantable Bio-Signal Monitoring System with Ultrasoft Electrodes," International Conference on Electronic Materials and Nanotechnology for Green Environment (ENGE), Jeju, Korea, Nov. 2016.
  35. (Invited)Shusuke Yoshimoto, Teppei Araki, Takafumi Uemura, and Tsuyoshi Sekitani, "Wearable and implantable bio-signal monitoring systems," 2016 Workshop on Innovative Nanoscale Devices and Systems (WINDS), p. 32, Dec. 2016.
  36. Ashuya Takemoto, Teppei Araki, Yuki Noda, Shusuke Yoshimoto, Takafumi Uemura, and Tsuyoshi Sekitani, "Spontaneous Patterning of 20 um-wide Electrodes Using Ag Nanowires for Transparent Organic Transistors," The 20th SANKEN International Symposium, p. 45 Dec. 2016.
  37. Masaya Kondo, Takafumi Uemura, Teppei Araki, Shusuke Yoshimoto, and Tsuyoshi Sekitani, "18-nm-thick Parylene Gate Insulator for Low-Voltage Operating and Ultraflexible Organic Transistors," The 20th SANKEN International Symposium, p. 46 Dec. 2016.
  38. Haruki Mori, Tomoki Nakagawa, Yuki Kitahara, Yuta Kawamoto, Kenta Takagi, Shusuke Yoshimoto, Shintaro Izumi, Hiroshi Kawaguchi and Masahiko Yoshimoto, "An Low-Energy 8T Dual-Port SRAM for Image Processor with Selective Sourceline Drive Scheme in 28-nm FD-SOI Process Technology," IEEE International Conference on Electronics, Circuits, and Systems (ICECS), pp.532-535, Dec. 2016.
  39. Shusuke Yoshimoto, Teppei Araki, Fumiaki Yoshida, Takafumi Uemura, Toshikazu Nezu, Hiroki Hamanaka, Takafumi Suzuki, Masayuki Hirata, and Tsuyoshi Sekitani, "Implantable ECoG Sensor with Flexible Electrode Sheet and Optogenetics Probe," The 20th SANKEN International Symposium, p. 46 Dec. 2016.
  40. (Invited)Takafumi Uemura, Masaya Kondo, Teppei Araki, Shusuke Yoshimoto, and Tsuyoshi Sekitani, "Sheet-Type Organic Amplifier System using Pseudo-CMOS Circuits for a Wireless Biosignal Detection," 5th imec Handai International Symposium, Dec. 2016.
  41. Teppei Araki, Ashuya Takemoto, Yuki Noda, Takafumi Uemura, Shusuke Yoshimoto, Tsuyoshi Sekitani, "A several-nanometers-thick gold layer on silver nanowires enhancing migration durability on stretchable electrodes for long therapeutic bio-applications," 2017 Materials Research Society (MRS) Spring meeting & exhibit, Symposium, SM1.5.08, Apr. 2017.
  42. Ashuya Takemoto, Teppei Araki, Yuki Noda, Shusuke Yoshimoto, Takafumi Uemura and Tsuyoshi Sekitani, "50-um-Wide Silver Nanowire Electrodes Patterned on Hydrophilic/Hydrophobic Treated Surface for Transparent Organic Transistors," 2017 Materials Research Society (MRS) Spring meeting & exhibit, Symposium SM1.3.24, Apr. 2017
  43. (Invited)Tsuyoshi Sekitani, Shusuke Yoshimoto, Teppei Araki, and Takafumi Uemura, "12-2: Invited Paper: a Sheet-Type Wireless Electroencephalogram (EEG) Sensor System Using Flexible and Stretchable Electronics," SID Symposium Digest of Technical Papers, volume 48, pp. 143–146 May 2017.
  44. Masahiro Sugiyama, Takafumi Uemura, Shusuke Yoshimoto, Mihoko Akiyama, Teppei Araki and Tsuyoshi Sekitani, "Development of an Ultra-Flexible Organic Differential Amplifier for Bio-Signal Monitoring," 9th International Conference on Molecular Electronics and Bioelectronics (M&BE9), p. 250, June 2017.
  45. Shusuke Yoshimoto, Takafumi Uemura, Mihiko Akiyama, Yoshihiro Ihara, Satoshi Otake, Tomoharu Fujii, Teppei Araki, Tsuyoshi Sekitani, "Flexible Organic TFT Bio-Signal Amplifier using Reliable Chip Component Assembly Process with Conductive Adhesive," IEEE Engineering in Medicine and Biology Society, pp. 1849-1852, July 2017.
  46. Fumika Tanabe, Shusuke Yoshimoto, Yuki Noda, Teppei Araki, Takafumi Uemura, Yoahinori Takeuchi, Masaharu Imai, and Tsuyoshi Sekitani, "Flexible Sensor Sheet for Real-time Pressure Monitoring in Artificial Knee Joint during Total Knee Arthroplasty," IEEE Engineering in Medicine and Biology Society, pp. 1591-1594, July 2017.
  47. Afreen Azhari, Shusuke Yoshimoto, Toshikazu Nezu, Hirokazu Iida, Hiroki Ota, Yuki Noda, Teppei Araki, Takafumi Uemura, Katsuyuki Morii, and Tsuyoshi Sekitani, "A Patch-Type Wireless Forehead Pulse Oximeter for SpO2 Measurement," IEEE Biomedical Circuits and Systems Conference, pp. 745-748, Oct. 2017.

Domestic Conference

  1. 吉本秀輔, 井口友輔, 奥村俊介, 藤原英弘, 野口紘希, 新居浩二, 川口博, 吉本雅彦, "カラム線制御回路を用いた0.56V動作128-kb10T小面積SRAM," 信学技報, vol. 109, no. 2, ICD2009-6, pp. 27-32, 2009年4月, 仙台.
  2. 吉本秀輔, 井口友輔, 奥村俊介, 藤原英弘, 野口紘希, 新居浩二, 川口博, 吉本雅彦, "カラム線制御回路を用いた0.56V動作128-kb10T小面積SRAM," LSIとシステムのワークショップ2009 ポスターセッション, pp. 226-228, 2009年5月, 小倉.
  3. 奥村俊介, 鍵山祐輝, 吉本秀輔, 山口幸介, 中田洋平, 川口博, 吉本雅彦, "ブロック一括コピー機能を有する7T SRAM," 電子情報通信学会 CEATEC JAPAN 2010 連携企画研究報告(Digital Harmony を支えるプロセッサと DSP,画像処理の最先端), pp.49-54, 2010年10月.
  4. 鍵山祐輝, 奥村俊介, 吉本秀輔, 中田洋平, 川口博, 吉本雅彦, "ブロックデータ一括コピー機能を有する7T SRAM," LSIとシステムのワークショップ2011 ポスターセッション, pp.227-229, 2011年5月, 小倉.
  5. 吉本秀輔, 天下卓郎, 奥村俊介, 山口幸介, 吉本雅彦, 川口博, "ビットエラー耐性及びソフトエラー耐性を備えたFD-SOI 7T/14T SRAM," LSIとシステムのワークショップ2011 ポスターセッション, pp.233-235, 2011年5月, 小倉.
  6. 天下卓郎, 吉本秀輔, 小津和大昌, 高田大河, 吉村正義, 松永裕介, 安浦寛人, 川口博, 吉本雅彦,"マルチビットアップセット耐性を備えた新規8TSRAMセルレイアウト," LSIとシステムのワークショップ2011 ポスターセッション, pp.278-280, 2011年5月, 小倉.
  7. 吉本秀輔, "A 40-nm 0.5-V 20.1-uW/MHz 8T SRAM with Low-Energy Disturb Mitigation Scheme," 2011 Symposium on VLSI Circuits 国内報告会, 2011年7月, 東京.
  8. 吉本秀輔, 山口幸介, 奥村俊介, 吉本雅彦, 川口博, "チップ間ばらつき及びチップ内ばらつきを抑制する基板バイアス制御回路を備えた0.42-V 576-Kb 0.15-um FD-SOI 7T/14T SRAM," 信学技報, vol. 111, no. 352, ICD2011-133, pp. 155-160, 2011年12月, 大阪.
  9. 梅木洋平, 吉本秀輔, 天下卓郎, 川口博, 吉本雅彦, "マルチビットアップセット耐性及びシングルビットアップセット耐性を備えた8T SRAM セルレイアウト," 信学技報, vol. 111, no. 352, ICD2011-134, pp. 161-166, 2011年12月, 大阪.
  10. 梅木洋平, 寺田正治, 吉本秀輔, 川口博, 吉本雅彦, "0.6V動作可能なハーフセレクト耐性を向上させる差動書込み技術を用いた40-nm 8T SRAM," 電子情報通信学会総合大会, 2012年3月
  11. 北原佑起, 鍵山祐輝, 奥村俊介, 柳田晃司, 吉本秀輔, 中田洋平, 和泉慎太郎, 川口博, 吉本雅彦, "温度変化を考慮したSRAMのBER導出手法の検討," 電子情報通信学会総合大会, 2012年3月
  12. 吉本秀輔, 寺田正治, 奥村俊介, 鈴木利一, 宮野信治, 川口博, 吉本雅彦, "低電力ディスターブ緩和技術を備えた40nm 12.9pJ/access 8T SRAM," 信学技報, vol. 112, no. 15, ICD2012-14, pp. 67-72, 2012年4月, 岩手.
  13. 梅木洋平, 奥村俊介, 中田洋平, 柳田晃司, 鍵山祐輝, 吉本秀輔, 川口博, 吉本雅彦, "低エネルギ比較機能を有するDMR応用7T SRAM," 信学技報, vol. 112, no. 15, ICD2012-16, pp. 85-90, 2012年4月, 岩手.
  14. 奥村俊介, 吉本秀輔, 川口博, 吉本雅彦, "SRAMセルを用いたLow書込みによるチップID生成手法," 信学技報, vol. 112, no. 15, ICD2012-18, pp. 97-102, 2012年4月, 岩手.
  15. 吉本秀輔, 寺田正治, 奥村俊介, 鈴木利一, 宮野信治, 川口博, 吉本雅彦, "0.5V 12.9pJ/accessを実現する低電力ライトバック技術を備えた40nm 8T SRAM," LSIとシステムのワークショップ 2012, pp.183-185, 北九州市, 2012年5月.
  16. 柳田晃司, 奥村俊介, 中田洋平, 鍵山祐輝, 吉本秀輔, 川口博, 吉本雅彦, "低エネルギ比較機能を有するDMR応用7T SRAM," LSIとシステムのワークショップ 2012, pp.186-188, 北九州市, 2012年5月.
  17. 奥村俊介, 吉本秀輔, 川口博, 吉本雅彦, "SRAMセルを用いたLow書込みによるチップID生成手法," LSIとシステムのワークショップ 2012, pp.201-203, 北九州市, 2012年5月.
  18. 吉本秀輔, 寺田正治, 梅木洋平, 奥村俊介, 川澄篤, 鈴木利一, 森脇真一, 宮野信治, 川口博, 吉本雅彦, "読出しビット線リミット機構を備えた40-nm 256-Kb サブ10pJ/access動作8T SRAM," 信学技報, vol. 112, no. 169, SDM2012-64, pp. 7-12, 札幌, 2012年8月.
  19. 中川知己, 吉本秀輔, 北原佑起, 柳田晃司, 梅木洋平, 奥村俊介, 和泉慎太郎, 川口博, 吉本雅彦 "強誘電体キャパシタを用いた6T4CシャドウSRAMの高性能化技術," 信学技報, vol. 112, no. 365, ICD2012-98, p. 41, 東京, 2012年12月.
  20. 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, "マルチビットアップセット耐性を有するNMOS内側レイアウトを用いた6T SRAM," 信学技報, vol. 113, no. 1, ICD2013-23, p.121-126, 茨城, 2013年4月.
  21. 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, "核反応シミュレータを用いたソフトエラー率導出ツール及び耐マルチビットエラー6T SRAM," LSIとシステムのワークショップ2013 ポスターセッション, pp. 154-156, 2013年5月, 小倉.
  22. 梅木洋平, 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, "読出しビット線振幅制限機構及び読み出し加速回路を備えた8T SRAM," LSIとシステムのワークショップ2013 ポスターセッション, pp. 169-171, 2013年5月, 小倉.
  23. 森陽紀, 柳田晃司, 梅木洋平, 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, 角田浩司, 杉井寿博, "磁性変化型メモリの書き込み速度を改善するメモリアーキテクチャ," 信学技報, vol. 113, no. 419, ICD2013-110, p. 27, 2014年1月, 京都.
  24. 河本優太, 吉本秀輔, 中川知己, 北原佑起, 森陽紀, 高木健太, 和泉慎太郎, 新居浩二, 川口博, 吉本雅彦, "28nmFD-SOIを用いた画像処理プロセッサ向け低消費電力SRAM," 信学技報, vol. 113, no. 419, ICD2013-116, p. 41, 2014年1月, 京都.
  25. 中川知己, 吉本秀輔, 北原佑起, 柳田晃司, 和泉慎太郎, 川口博, 吉本雅彦, "強誘電体メモリの高速回路技術," 信学技報, vol. 113, no. 419, ICD2013-115, p. 39, 2014年1月, 京都.
  26. 森陽紀, 柳田晃司, 梅木洋平, 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, 角田浩司, 杉井寿博, "磁性変化型メモリの書き込み高速化メモリアーキテクチャ," LSIとシステムのワークショップ2014 ポスターセッション, 2014年5月, 小倉.
  27. 北原弘登,中川知己,和泉慎太郎,柳田晃司,北原佑起,吉本秀輔,梅木洋平,森陽紀,川口博,木村啓明,丸元共治,渕上貴昭,藤森敬和,吉本雅彦,"6T4C型低消費電力不揮発メモリ," LSIとシステムのワークショップ2015 ポスターセッション, 2015年5月, 小倉.
  28. 吉本秀輔, "【招待講演】高信頼性VLSIシステムに向けたディペンダブルメモリ技術," 第28回 回路とシステムワークショップ, 兵庫, 2015年8月
  29. 荒木徹平, 吉本秀輔, 植村隆文, 関谷毅, "生体信号センシングにむけた銀ナノワイヤ柔軟電極の開発," エレクトロニクス実装学会, 2016年3月, 東京.
  30. 近藤雅哉, 植村隆文, 松本孝典, 荒木徹平, 吉本秀輔, 関谷毅, "18nm厚みのパリレン絶縁膜を用いた2V駆動有機トランジスタ," 応用物理学会, 2016年3月, 東京.
  31. 植村隆文, 松本孝典, 近藤雅哉, 根津俊一, 吉本秀輔, 荒木徹平, 笹井謙一, 新居知哉, 森井克行, 関谷毅, "有機トランジスタを用いた生体信号増幅回路の開発," 応用物理学会, 2016年3月, 東京.
  32. 森陽紀, 中川知己, 北原佑起, 河本優太, 高木健太, 吉本秀輔, 和泉慎太郎, 新居浩ニ, 川口博, 吉本雅彦, "298-fJ/writecycle 650-fJ/readcycle を実現する画像処理プロセッサ向け 28-nm FD-SOI 8T 3ポートSRAM, " 信学技報, vol.116, no.3, pp.13-16, 2016年4月14日,東京.
  33. Teppei Araki, Shusuke Yoshimoto, Takafumi Uemura, Masaya Kondo, and Tsuyoshi Sekitani, "High Stability of Silver Nanowire Based Electrodes for Bio-Sensors," ICEP 2016, TB2 Printed Electronics-2, TB2-2, April 2016.
  34. 吉本秀輔, 荒木徹平, 植村隆文, 関谷毅, 根津俊一, 近藤雅哉, 笹井謙一, 岩瀬雅之, 佐竹秀樹, 吉田暁生, 菊知充, 関谷毅, "生体適合性柔軟電極シートを有するパッチ式ワイヤレス脳波センサ," LSIとシステムのワークショップ2016 ポスターセッション, 2016年5月, 東京.
  35. 近藤雅哉, 吉本秀輔, 植村隆文, 秋山実邦子, 根津俊一, 荒木徹平, 関谷毅, "18nm厚パリレン絶縁膜を有する2.0V駆動有機薄膜トランジスタを用いたウェアラブルセンサの開発," LSIとシステムのワークショップ2016 ポスターセッション, 2016年5月, 東京.
  36. 梅木洋平, 柳田晃司, 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, 角田浩司, 杉井寿博, "プロセスばらつき耐性を有する低電圧動作STT-MRAM向けカウンターベース読出し回路," LSIとシステムのワークショップ2016 ポスターセッション, 2016年5月, 東京.
  37. 吉本秀輔, 荒木徹平, 植村隆文, 根津俊一, 近藤雅哉, 笹井謙一, 岩瀬雅之, 佐竹秀樹, 吉田暁生, 菊知充, 関谷毅, "【招待講演】生体適合性柔軟伸縮電極ゲルシートを有するパッチ式脳波センサ技術とその応用," 信学技報, vol. 116, no. 172, SDM2016-56, p. 63 2016年8月, 大阪.
  38. 吉本秀輔, "【招待講演】異分野連携によるフレキシブルセンサの開発と応用," 信学技報 Vol. 116, No. 364, ICD2016-55, pp. 25-28, 東京, 2016年12月.
  39. 田邊史夏, 吉本秀輔, 野田祐樹, 荒木徹平, 植村隆文, 武内良典, 今井正治, 関谷毅, "人工膝関節置換術中支援に向けた圧力センサの開発," 信学技報 Vol. 116, No. 364, ICD2016-78, p. 83, 東京, 2016年12月.
  40. 杉山真弘, 植村隆文, 吉本秀輔, 秋山実邦子, 荒木徹平, 関谷毅, "フレキシブル有機トランジスタによる差動増幅回路実現に向けた検討," 信学技報 Vol. 116, No. 364, ICD2016-62, p. 43, 東京, 2016年12月.
  41. 近藤雅哉, Melzer Michael, 植村隆文, 吉本秀輔, Karnaushenko Daniil, 荒木徹平, Schmidt Oliver, 関谷毅, "有機TFTを用いた4V駆動無線フレキシブル磁気センサアレイの開発," 第64回 応用物理学会 春季学術講演会, 17p-302-5, パシフィコ横浜, 2017年3月.
  42. 杉山真弘, 植村隆文, 吉本秀輔, 秋山実邦子, 荒木徹平, 関谷毅, "p型有機TFTによる高ゲイン・低電圧駆動フレキシブル差動増幅回路," 第64回 応用物理学会 春季学術講演会, 17p-302-7, パシフィコ横浜, 2017年3月.
  43. 吉本秀輔, 荒木徹平, 植村隆文, 根津俊一, 濱中裕喜, 吉田史章, 鈴木隆文, 平田雅之, 関谷毅, "生体埋込型64チャネル皮質脳波無線計測システム," LSIとシステムのワークショップ2017 ポスターセッション, 東京, 2017年5月.
  44. 近藤雅哉, 吉本秀輔, Michael Melzer, 植村隆文, Daniil Karnaushenko, 秋山実邦子, 荒木徹平, Oliver Schmidt, 関谷毅, "有機トランジスタを用いたフレキシブル薄膜磁気センサアレイを有する無線磁場計測システム," LSIとシステムのワークショップ2017 ポスターセッション, 東京, 2017年5月.
  45. 田邊史夏, 吉本秀輔, 野田祐樹, 荒木徹平, 植村隆文, 関谷毅, "人工膝関節置換術中支援に向けたフレキシブル圧力分布計測システムの開発," LSIとシステムのワークショップ2017 ポスターセッション, 東京, 2017年5月.
  46. 吉本秀輔, 植村隆文, 秋山実邦子, 井原義博, 大竹智史, 藤井朋治, 荒木徹平, 関谷毅, "フレキシブル基板上への高信頼チップ部品実装技術を用いた生体信号増幅可能な有機トランジスタアンプ," 第34回センサ・マイクロマシンと応用システムシンポジウム, 広島, 2017年10月
  47. 田邊史夏, 吉本秀輔, 大田裕, 根津俊一, 野田祐樹, 荒木徹平, 植村隆文, 関谷毅, "人工膝関節置換術中支援に向けたフレキシブル圧力センサアレイシステム," 第34回センサ・マイクロマシンと応用システムシンポジウム, 広島, 2017年11月

Thesis

  1. 吉本秀輔, "微細化SRAMにおけるメモリセル最適設計に関する研究," 神戸大学工学部 卒業論文, 2009年2月.
  2. 吉本秀輔, "微細化SRAMの超低消費電力化及び高信頼性設計技術の開発," 神戸大学大学院工学研究科 修士論文, 2011年2月.
  3. 吉本秀輔, "ナノメートルCMOSにおける低電圧・耐ソフトエラーSRAMに向けた回路設計技術に関する研究," 神戸大学大学院システム情報学研究科 博士論文, 2013年7月.
  4. Shusuke Yoshimoto, "A Study on Circuit Design for Low-Voltage and Soft-Error Resilient SRAM in Nanometer CMOS Technology," Graduate School of System Informatics, Kobe University, July 2013.

Grant

  1. 2013年度 科学研究費補助金 特別研究員奨励費 (1,200千円)
  2. 2014年度 科学研究費補助金 特別研究員奨励費 (1,200千円)
  3. 2015年度 科学研究費補助金 研究活動スタート支援 (1,200千円)
  4. 2016年度 科学研究費補助金 若手研究B (1,500千円)
  5. 2017年度 科学研究費補助金 若手研究B (1,500千円)
  6. 2017年度 JST COI 若手連携ファンド (3,800千円)

Award

  1. 神戸大学工学振興会理事長賞, 吉本秀輔, 平成21年3月25日.
  2. IEEE SSCS Japan Chapter Academic Research Award: 吉本秀輔, 天下卓郎, 奥村俊介, 山口幸介, 吉本雅彦, 川口博, "ビットエラー耐性及びソフトエラー耐性を備えたFD-SOI 7T/14T SRAM," LSIとシステムのワークショップ2011 ポスターセッション, 2011年5月.
  3. IEEE SSCS Japan Chapter Academic Research Award: 吉本秀輔, 寺田正治, 奥村俊介, 鈴木利一, 宮野信治, 川口博, 吉本雅彦, "0.5V 12.9pJ/accessを実現する低電力ライトバック技術を備えた40nm 8T SRAM," LSIとシステムのワークショップ 2012 ポスターセッション, 2012年5月.
  4. IEEE SSCS Kansai Chapter IMFEDK 2013 Student Paper Award: S. Yoshimoto, K. Nii, H. Kawaguchi, and M. Yoshimoto, "Multiple-Cell-Upset Hardened 6T SRAM Using NMOS-Centered Layout," IEEE International Meeting for Future of Electron Devices Kansai (IMFEDK), pp. 98-99, June 2013.
  5. VDECデザインアワード優秀賞: 吉本秀輔, "マルチセルアップセット耐性を有する NMOS内側レイアウト6T SRAMセル," VDECデザイナーズフォーラム, 2013年8月.
  6. Intel/Analog Devices/Catalyst Foundation/Cirrus Logic CICC Student Scholarship Award: S. Yoshimoto, S. Miyano, M. Takamiya, H. Shinohara, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 8T SRAM with Selective Source Line Control of Read Bitlines and Address Preset Structure," IEEE Custom Integrated Circuits Conference (CICC), Sep. 2013.
  7. IEICE Paper Award: 奥村俊介, 吉本秀輔, 川口博, 吉本雅彦, "A 128-bit Chip Identification Generating Scheme Exploiting Load Transistors' Variation in SRAM Bitcells," IEICE Trans. Fundamentals, Vol. E95-A, No. 12, pp. 2226-2233, Dec. 2012.
  8. LSIとシステムのワークショップ2016 最優秀ポスター賞(一般部門) : 吉本秀輔, 荒木徹平, 植村隆文, 関谷毅, 根津俊一, 近藤雅哉, 笹井謙一, 岩瀬雅之, 佐竹秀樹, 吉田暁生, 菊知充, 関谷毅, "生体適合性柔軟電極シートを有するパッチ式ワイヤレス脳波センサ," LSIとシステムのワークショップ2016 ポスターセッション, 2016年5月, 東京.
  9. LSIとシステムのワークショップ 優秀ポスター賞(学生部門) : 梅木洋平, 柳田晃司, 吉本秀輔, 和泉慎太郎, 川口博, 吉本雅彦, 角田浩司, 杉井寿博, "プロセスばらつき耐性を有する低電圧動作STT-MRAM向けカウンターベース読出し回路," LSIとシステムのワークショップ2016 ポスターセッション, 2016年5月, 東京.
  10. IEEE ICECS 2016 Best Paper Award: Haruki Mori, Tomoki Nakagawa, Yuki Kitahara, Yuta Kawamoto, Kenta Takagi, Shusuke Yoshimoto, Shintaro Izumi, Hiroshi Kawaguchi and Masahiko Yoshimoto, "An Low-Energy 8T Dual-Port SRAM for Image Processor with Selective Sourceline Drive Scheme in 28-nm FD-SOI Process Technology," Dec. 2016.
  11. LSIとシステムのワークショップ2017 最優秀ポスター賞(一般部門) : 吉本秀輔, 荒木徹平, 植村隆文, 根津俊一, 濱中裕喜, 吉田史章, 鈴木隆文, 平田雅之, 関谷毅, "生体埋込型64チャネル皮質脳波無線計測システム," LSIとシステムのワークショップ2017 ポスターセッション, 2017年5月, 東京.
  12. 若手研究会2016優秀ポスター賞:杉山真弘, 植村隆文, 吉本秀輔, 秋山実邦子, 荒木徹平, 関谷毅, "フレキシブル有機トランジスタによる差動増幅回路実現に向けた検討," LSIとシステムのワークショップ2017, 2017年5月, 東京.
  13. M&BE9 Best Poster Award : Masahiro Sugiyama, Takafumi Uemura, Shusuke Yoshimoto, Mihoko Akiyama, Teppei Araki and Tsuyoshi Sekitani, "Development of an Ultra-Flexible Organic Differential Amplifier for Bio-Signal Monitoring," 9th International Conference on Molecular Electronics and Bioelectronics (M&BE9), p. 250, Kanazawa, Japan, June 2017.

Report, Invited Talk

  1. 吉本秀輔(幹事代表), 花田高彬, 若間範充, 吉川俊之, "青年の主張 - 「いまどき」の学生から見た半導体分野とは -," VDECデザイナーズフォーラム2012 Ph.D.企画セッション, 東京, 2012年8月.
  2. 吉本秀輔(幹事代表), 今井快多, 郡浦宏明, 矢部紘貴, "Ph.D.将来設計常識講座 -これが我々の生きる道-," VDECデザイナーズフォーラム2013 Ph.D.企画セッション, 東京, 2013年8月.
  3. 吉本秀輔, "グローバル化に挑戦する若手研究者の米国研究留学," 京都工芸繊維大学 スーパーグローバル大学採択記念シンポジウム 真のグローバル化を目指して ~世界に羽ばたく日本人からのメッセージ~, 京都, 2015年3月.
  4. 吉本秀輔, 吉澤望, 石井リーサ明理, 関谷毅, "光の形と東西比較," 第10回新産業促進検討会 FIT+ 新光源 有機EL照明の魅力, 東京, 2017年2月.
  5. 吉本秀輔, "工学研究者とのコラボによる新しい心理学・脳科学の可能性-パッチ式脳波センサ-," 日本心理学会第81回大会, 広島, 2017年9月.
  6. Shusuke Yoshimoto, "Patch-type EEG Sensor," EEGLAB Workshop, Tokyo, Sep. 2017.

Book

  1. 吉本秀輔, "ストレッチャブル電極を用いた生体計測システム," バイオテクノロジーシリーズ IoTを指向するバイオセンシング・デバイス技術, p. 181-187, 2016年11月.

Media

  1. パッチ式脳波センサ, 日経新聞, 2016年1月21日.
  2. 読売テレビ かんさい情報ネットten!, 2016年9月22日.
  3. FIT+ 日刊工業新聞, 2017年3月13日.
  4. CeBIT(国際情報通信技術見本市)2017, golem.de, LA STAMPA TV, 2017年3月23日.
  5. 「セビット2017」にみる最新トレンド, 電波新聞, 2017年4月17日.
  6. 冷却シート式脳波計がベンチャーに、伸縮FPC市場拡大なるか, 日経テクノロジー, 2017年6月12日.
  7. 道路や橋、インフラ老朽化を人工知能が監視, 産経新聞, 2017年7月16日.

Academic and social activity

  1. 2012年度-2013年度 電子情報通信学会 集積回路研究会(ICD) 学生TPC
    (2013-2014 IEICE student technical program committee on Integrated Circuits and Devices)
  2. 2012年度-2013年度 VDECデザイナーズフォーラム Ph.D企画セッション 学生幹事代表
    (2013-2014 student committee for VDEC designer's Forum)
  3. 2014年度 スタンフォード日本人会 幹事 会員・メーリングリスト管理
    (2014 Stanford Japanese Association committee on member relations and mailing list administration)
  4. 2016年度-2017年度 国際学会Design, Automation and Test in Europe(DATE)プログラム委員
    (2016 International conference of Design, Automation and Test in Europe, Technical Program Committee member)
TOPへ戻る